What's new

Published April 15, 2024

Original in Swedish:

I en värld där halvledare blir allt viktigare för vår tekniska infrastruktur, spelar AlixLabs en avgörande roll. Vår unika metod för att klyva nanostrukturer i kisel har potential att revolutionera energiförbrukningen och minska utsläppen i halvledarproduktionen.

Jonas Sundqvist, vår VD och grundare, har uttalat sig om AlixLabs väg framåt och betonar vikten av internationellt samarbete och öppenhet i branschen. "I en tid där den globala marknaden ibland liknas vid ett kallt krig, är det avgörande att Sverige inte isolerar sig. Vi måste säkra upp våra samarbeten, inte bara inom Europa utan även med USA, för att stärka vår position och innovation."

Med planer på att utveckla och finjustera vår första 300 millimeters wafer-maskin, står AlixLabs inför spännande tider. Detta arbete utförs för att möta de specifika behoven hos våra potentiella kunder globalt, även om vi står inför utmaningar relaterade till infrastrukturella begränsningar i Sverige.

AlixLabs är stolta över att vara en del av Sveriges framväxande roll inom halvledarinnovation och ser fram emot att fortsätta bidra till och dra nytta av initiativ som EU:s Chips Act. Vi strävar efter att inte bara följa utan att leda utvecklingen, med en fast övertygelse om att våra tekniska framsteg kommer att bära frukt både nationellt och internationellt.


LINK: NyTeknik

Published April 5, 2024

Lund, Sweden – April 5, 2024, AlixLabs AB, a Swedish semiconductor startup specializing in Atomic Layer Etching, announces that it has been granted a certification of registration for its trademark APS by the European Union Intellectual Property Office. The acronym APS stands for Atomic Layer Etching (ALE) Pitch Splitting and describes the company’s revolutionary process that aims to enable the semiconductor industry produce chips of the future at Ångström scale (1Å = 0.1 nanometer) at lower cost and energy use.

“As we are nearing commercialization of our technology on 300-millimeter (12-inch) silicon wafers, it feels great to finally have a unique trademark to our unique semiconductor manufacturing process,” comments Jonas Sundqvist, CEO of AlixLabs. “We have been etching transistor fins since 2019, and within the upcoming quarters we will have fully validated the APS process on 300-millimeter wafers with new equipment developed by our fellow countrymen at Nanovac.”

Having previously demonstrated APS on bulk silicon, AlixLabs aims to install the new Nanovac-developed equipment in the summer of 2024. Once up and running, the goal is to finalize a commercial APS process that can be licensed to leading-edge semiconductor manufacturers to enable cheaper, more energy-efficient and sustainable production of advanced chips.

“This 300-millimeter wafer tool combines our deep industry knowledge with practical design innovations, aiming to offer improved precision and efficiency in semiconductor manufacturing,” says Thomas Engstedt, founder and CEO at Nanovac. “It’s a disruptive step forward for Atomic Layer Etching and APS processing, setting a solid foundation for future advancements by employing modular design concepts.”

APS is the first trademark of AlixLabs, joining the company’s growing portfolio of patents related to the APS process that includes one EU, two U.S., and two Taiwanese patents.


LINK: SemiconductorToday 

Published May 25, 2023

AlixLabs is participating in the ALL2GaN EU project with your low-damage Atomic Layer Etch process technology. This collaboration with Infineon and other partners demonstrates a collective effort towards sustainable technology development and the use of innovative solutions like gallium nitride to enhance energy efficiency and reduce carbon emissions on a global scale.

The European Union's Green Deal is a significant initiative aimed at achieving climate neutrality in Europe by 2050. This objective requires the development of smart, low-emission technologies and the promotion of a digitally advanced, competitive, and sustainable industry.

Research and innovation in power electronics, such as pacemaker technologies, are pivotal in driving the implementation of the Green Deal. These advancements enable energy-efficient and environmentally friendly solutions across various sectors, aligning with the goals of the EU's sustainable agenda.

By investing in power electronics research and innovation, the EU can accelerate the adoption of sustainable technologies, contributing to a substantial reduction in carbon emissions and facilitating a greener and more sustainable future for the continent.


LINKS: 

ALL2GAN Web Page 

Infineon Press Release 

Published February 24, 2023

Lund-based startup AlixLabs has recently secured €908.7K (SEK 10 million) in funding from a range of investors, including Navigare Ventures, Almi Invest, and the ice hockey family Nylander. The funding will be used to continue developing the company’s innovative Atomic Layer Etching (ALE) Pitch Splitting technology (APS).

LINK: Press release 



Published October 21, 2022

The company is now pleased to announce that the European Patent Office (EPO) has issued a notice of Intention to Grant their first European Patent. Europe is one of the most important markets for leading-edge semiconductor products due to the size of the EU inner market for electronic goods like smartphones, PC/laptops, tablets, automotive and internet servers, and hence crucial for AlixLabs to protect its innovative APS process here by IP.  

LINK: Press release 



Published September 21, 2022

The company is pleased to announce a powerful Advisory Board with long-term semiconductor industry, business, and academic leadership. In a statement from Dr. Jonas Sundqvist, CEO and co-founder of AlixLabs, he said, "Our Advisory Board adds a new level of engagement with the semiconductor industry and leading research centers needed to transfer the APS technology into high volume manufacturing. Besides strategic business decisions, we must build an ecosystem around our disruptive patterning technology. With their support, we will be able to deeply engage the semiconductor ecosystem on all levels and in all supply sectors, from materials and equipment suppliers to the wafer fabs and recognized R&D labs and institutes in this amazing industry".

Lita Shon-Roy – President/CEO and Founder of TECHCET—has worked throughout the semiconductor supply chain, leading strategy, business development, marketing, and sales for chip designers, equipment OEMs, and material suppliers for over 30 years. Her experience spans from process development of SRAMs to business development of gases & precursors. She developed new business opportunities for companies such as RASIRC/Matheson Gases, Air Products & Chemicals, and IPEC/Speedfam, and managed marketing and sales in companies such as Air Products/Schumacher, Brooktree/Rockwell, and Hughes Aircraft. Ms. Shon-Roy is considered one of the leading experts in electronic materials market analysis and business development. She has authored and co-authored 100’s of articles, reports, and texts on semiconductor process materials markets, trends, and worldwide supply chain issues. She holds an Masters Business Administration (MBA) from California State University, Dominguez Hills, a Master of Science (MS) in Electrical Engineering with a specialty in Solid State Physics from the University of Southern California, and a Bachelor of Science (BS) in Chemical Engineering from UC San Diego.

Prof. Dr. Fred Roozeboom is emeritus/guest professor in the Inorganic Membranes group at the University of Twente and consultant to the high-tech industry. From 2007 until Dec. 2021 he was a part-time, full professor at TU Eindhoven in the group Plasma & Materials Processing, and from 2009-2021 he was Senior Technical Advisor at TNO Holst Centre, Eindhoven, aiming at new applications in Atomic Layer Deposition and Etching, area-selective ALD, Li-ion micro-batteries, and EUV optical lifetime. From Sept. 2021 - Sept. 2022 he was a Research Fellow at LionVolt, a start-up, working on pilot line production of 3D thin-film Li-batteries on metal foil. Fred is co-/author of >200 publications (h-index 42), 5 book chapters, 39 granted US patents, co-/editor of 51 conference proceedings on semiconductor & microsystems processing, and executive

editor of open access journal Atomic Layer Deposition. He was or is active in conference committees for the Materials Research Society, Electrochemical Society, American Vacuum Society, IEEE, DPS-Japan, and SEMI Europe Semiconductor Technology Programs Committee.

Dr. Jacques Kools has over 35 years of experience in nanotechnology R&D, focusing on capital equipment and process for vacuum etch and deposition processes. He started his career at Philips Research, Eindhoven, The Netherlands, working on reactive ion beam and laser etch processes and magnetic materials. He worked in various roles in the semiconductor equipment industry in Silicon Valley, most recently as Vice President of Technology and Director of Strategic Marketing at Veeco Instruments (NASDAQ: VECO). His current position is CEO and founder of Encapsulix, a supplier of Atomic Layer Deposition ( ALD) equipment and process technology. Dr. Kools holds a Ph.D. from the Eindhoven University of Technology. He has published extensively with more than 100 refereed papers, including more than 10 invited reviews and more than 20 US patents (h index of 30 and i10 index of 60).

AlixLabs proudly anounce its Advisory Board - AlixLabs AB (cision.com) 

Published September 12, 2022

AlixLabs is now pleased to announce being granted a second patent in the USA. USA is one of the most important markets for leading-edge semiconductor manufacturing, a huge industrial and consumer market for the most advanced electronic products and hence crucial for AlixLabs to protect its innovative APS process by IP. 

In a statement from Dr. Dmitry Suyatin, CTO and co-founder of AlixLabs on the origin of the invention and R&D activities in Lund, he said "Our key technology is based on a surprising discovery that sidewalls act as a topographical mask in Atomic Layer Etch Processes. This technology has been proven for such different materials as Gallium Phosphide (GaP), Silicon (Si) and Tantalum Nitride (TaN) – all being critical materials to the semiconductor and optoelectronic industry. Besides already having secured a granted the first US and Taiwan patent, we are now delighted to announce that our second US patent has also been granted and that we have more patent applications in the pipeline".

AlixLabs AB today announced that the company has been granted a second patent in the USA relating to Atomic Layer Etch Pitch Splitting (APS) for semiconductor manufacturing - AlixLabs AB (cision.com) 

Published August 18, 2022

AlixLabs AB Keeps growing!

We are happy to announce that Yoana Ilarionova and Sung Youn Ju have joined us as Process Engineer and Senior Consultant.

Yoana was a M.Sc student at Lund University where she did her master project with AlixLabs on 'Real and Quasi Atomic Layer Etching for Ultra-High Resolution Patterning' and passed with distinction (Väl godkänd). After finishing her M.Sc, Yoana joined AlixLabs were she is our Process Engineer at the cleanroom. We are vey happy to have you with the team Yoana!

Sung Youn Ju comes with an extensive background and hands-on experiences in the engineering of advanced mechanical systems from MOCVD, MOVPE, ALD to etchers and Epitaxy tools. We are very happy to have Sung Youn onboard helping us up running our clean room at the Rise ProNano facility in Lund.

Welcome Yoana and Sung Youn! 


Published June 30, 2022

AlixLabs AB participated and presented at The AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022) featuring the 9th International Atomic Layer Etching Workshop (ALE 2022) in Ghent, Belgium June 27 to 29.


Yoana gave her oral presentation in session LE1-TuA-4 In-situ Optical Emission Spectroscopy as a Tool to Characterize Cyclic Quasi-Atomic Layer Etching, Yoana Ilarionova, Lund University, Sweden; M. Karimi, AlixLabs, Sweden; D. Lishan, D. Geerpuram, Plasma-Therm LLC, USA; R. Jafari Jam, D. Suyatin, J. Sundqvist, AlixLabs, Sweden; I. Maximov, Lund University, Sweden

Jonas gave an invited talk in: AA2-TuM2-1 High ALD Equipment and Precursor Demand and 5-Year Forecast Due to Continued Semiconductor Device Scaling and Fab Expansions.

Dmitry as part of the AVS ALE Scienetific Committee moderated the session ALE1-TuA In situ Studies, Mechanisms, and Modeling of ALE.

Top: Team photo: Reza Jafari Jam, Jonas Sundqvist, Yoana Ilarionova and Dmitry Suyatin.

Bottom: Reza and Yoana taking on the ALD / ALE industrial exhibition.

AVS ALD ALE 2022 Page: ald2022.avs.org/ 

Published June 16, 2022

AlixLabs AB today announced completing clean room hook up of R&D equipment for Atomic Layer Etch Pitch Splitting (APS)

AlixLabs is now pleased to announce the completed hook-up of Atomic Layer Etch (ALE) equipment in its clean room at ProNano RISE in Lund, Sweden. The equipment is the original ICP Reactive Etch tool from Oxford Instruments (UK), a Plasmalab 100 used during the original discovery of the APS method. Later the ALE process was also successfully transferred to another tool of the same make at Micronova, Aalto University in Helsinki, Finland, showing that the method is robust. Since then, additional ALE tools have come into play operating at Lund Nano Lab supplied by PlasmaTherm (USA).


Link: news.cision.com/alixlabs-ab/r/alixlabs-ab-today-announced-completing-clean-room-hook-up-of-r-d-equipment-for-atomic-layer-etch-pit,c3586701 

Published April 13, 2022

Elektronik Tidningen - AlixLabs gör sig redo för Intel och TSMC

Alixlabs utvecklar en metod som kan göra det både billigare och snabbare att tillverka i de allra finaste processnoderna, som delar av halvledarvärlden strävar mot. Siktet är ställt på att få företag som Intel och TSMC på kundlistan. För att komma närmare målet har företaget flyttat in hos Pronano. 


I november förra året flyttade Alixlabs från universitetslabbet Lund Nanolab till Pronano. – Arbetet i Lund Nanolab är inte baserat på wafers, man har små prover som är några millimeter stora. Nu vill vi gå över till en waferbaserad process anpassad för industrin, säger Jonas Sundqvist, vd på Alixlabs. 


Första steget är att gå till 4-tum. För det har Alixlabs investerat i ny utrustning som just nu håller på att installeras i Pronano i den del av renrummet som företaget hyr. Det handlar om en så kallad ALE-maskin (atomic layer etching); en maskin för torretsning som företaget behöver. 

Länk: Alixlabs: Gör sig redo för Intel och TSMC – Elektroniktidningen (etn.se) 

Published November 1, 2021

Breaking through the Crisis – Startups: While the world is dealing with the semiconductor shortage, startups are gearing up to fill in the gap with their innovative offerings to ensure that crisis doesn’t last long. In this interview, the co-founder and CEO of AlixLabs, Dr. Jonas Sundqvist discusses about what led us to this crisis, how to fix it and what role startups have in ensuring interrupted supply of silicon. He also discusses about what next when this crisis is fixed? Is there another crisis that may arise?  

Published November 1, 2021

Today we are very happy to announce that we are moving to our new office at ProNano in Lund, Sweden. 

ProNano of RISE is a digital innovation hub that aims to support Swedish businesses with digital transformation, using nanotechnology and GaN materials. 

LINK to ProNano

Published October 12, 2021

The company is now pleased to announce the first granted patent in Taiwan. Taiwan is one of the most important markets for leading-edge semiconductor manufacturing and hence crucial for AlixLabs to protect its innovative APS process by IP. The Taiwanese semiconductor industry, including IC manufacturing, design, and packing, forms a significant part of Taiwan's IT industry. Due to its strong capabilities in fab wafer manufacturing and a complete industry supply chain, Taiwan has distinguished itself from its competitors and dominate the global marketplace. Taiwan is the unmatched leader of the worldwide semiconductor industry, with Taiwan Semiconductor Manufacturing Company (TSMC) alone accounting for more than 50% of the global market. In 2020, the sector accounted for US$115 billion in output.  

Press release in English : LINK (Cision)

Published September 24, 2021

Almi Invest is investing close to SEK 3 million in AlixLabs, which is developing a new method for manufacturing semiconductor components cheaper and faster. Private investors, including NHL professionals Michael, Alexander and William Nylander , are also participating in the issue of a total of SEK 9 million . The money will be used for product development and validation of the technology.

Almi Invest invests in the semiconductor company AlixLabs : LINK (Swedish machine translated)

Press release in English : LINK (Cision)

Published September 24, 2021

Lundbaserade Alix Labs utvecklar en ny metod för att tillverka halvledarkomponenter billigare och snabbare.

”Biltillverkningen släpar efter”, säger Jonas Sundqvist, vd på Alix Labs.

Skånsk teknik ska lösa halvledarkrisen : LINK (Dagens Industri, Swedish)


Published September 24, 2021

We are very happy to announce that AlixLabs is expanding the Team in Lund, Sweden, with Dr Reza Jafari Jam as Principal Researcher in October!

Reza has specialized in the area of nanofabrication and characterization of III-V semiconductors for photonics and energy applications, with more than 9 years of experience in research and lab supervision. 

He has a PhD in Nanotechnology and MSc in Photonics Engineering from The Faculty of Engineering at Lund University at Lund University

• Deep etching of metals, semiconductors and dielectrics.

• Growth of III-V NWs on (001) substrates.

• Substrate re-use to reduce the fabrication cost of III-V NW based solar cells.

• Simulation of NW based devices.

WELCOME TO THE TEAM REZA!

Published June 29, 2021

AlixLabs appears in Elektroniktidningen June issue - Read more in pages 3 and 4

Published April 30, 2021

The US Patent Office has approved AlixLabs’s patent application for nanofabrication by ALE Pitch Splitting (APS) - Press release here

Published March 18, 2021

Yesterday on St Patrick Day 2021 we remembered our trip to Dublin 2016 and launching our proprietary technology, APS - ALE Pitch Splitting, for the first time to a bigger audience at ALD/ALE Ireland 2016. Since then a lot of things has happened at AlixLabs AB in Lund, Sweden - please read the story here 

Published January 20, 2021

An  opportunity for a really interesting Master Thesis. Get in touch here!

210115_M.Sc student flyer_DS.pdf

Published June 2, 2020

AlixLabs signs agreement to use the lab facilities of Sweden’s largest research environment for nanoscience and nanotechnology.

NanoLund, founded in 1988, is the Center for Nanoscience at Lund University and a Strategic Research Area funded by the Swedish government. Encompassing 55 research groups in the faculties of engineering, science and medicine, more than 130 PhD students.

Published June 1, 2020

AlixLabs moves into Ideon Science Park

Published May 15, 2020

AlixLabs ramps up activities and employs Dr. Mohammad Karimi as Principal Scientist.

Mohammad Karimi received his PhD in Physics from Lund University in 2020, where he worked on design, nano-fabrication and characterization of novel optoelectronic devices based on semiconductor nanowires. Dr. Karimi has authored and co-authored more than 20 journal publications and conference presentations in the field of solid-state physics and III-V semiconductor devices. 

Also here in Bald Engineering's Blog

Published October 24, 2019

AlixLabs receives Vinnova grant for "Innovative startups" 93 startup-bolag får dela på 28 miljoner | Vinnova

Published September 27, 2019

LU Holding investerar i nystartade AlixLabs, som utvecklat en metod att på ett mycket kostnadseffektivt sätt tillverka elektroniska kretsar för halvledarindustrin. 

Meet us in bellevue

Published May 29, 2019

We will be in the conference for the full duration, more than happy to invite you for a coffee and a discussion on opportunities, please contact us

Published May 22, 2018

From ACS Applied Nano Materials  2018, 1, 6, 2476-2482, High-Definition Nanoimprint Stamp Fabrication by Atomic Layer

Etching

Published August 18, 2017

From Journal of Vacuum Science & Technology A 35, 060603 (2017) , Atomic layer etching of gallium nitride (0001)

Published June 25, 2016

Dr Suyatin @ ALD 2016 in Dublin, Irland Longitudinal nanowire splitting by atomic layer etching